Harmonize module and input naming

This commit is contained in:
LordMathis 2023-12-18 21:11:04 +01:00
parent 3d6b90e328
commit 439b15d11e
11 changed files with 1 additions and 1 deletions